Publications OK SMART LAB

Facile route of flexible wire grid polarizer fabrication by angled-evaporations of aluminum on two sidewalls of an imprinted nanograting
Authors
Young Jae Shin, Carlos Pina-Hernandez, Yi-Kuei Wu, Jong G. Ok, and L. Jay Guo
Journal
Nanotechnology
Vol
23 (34), 344018 (Aug 2012)
Year
Prior to 2014
In this study, we report a new method to fabricate a wire grid polarizer (WGP) that greatly relaxes the requirement on patterning and etching, and can be easily applied to produce flexible WGPs. The technique is to pattern a high aspect ratio and narrow linewidth grating by nanoimprint lithography followed by two angled aluminum depositions in opposite directions to produce the narrow spacing between the aluminum lines required for a visible band WGP. Anisotropic reactive ion etching is used to remove the aluminum deposited at the top of the grating but leave the aluminum layer on the grating sidewalls, thereby forming a metal wire grid with much smaller spacings than a lithographically defined grating. As a result, the fabricated WGP showed good performance in a wide range of visible wavelength.